In semiconductor manufacturing, the 3 nm process is the next die shrink after the 5 nanometer MOSFET (metal–oxide–semiconductor field-effect transistor) technology node. As of 2022, Taiwanese chip manufacturer TSMC plans to put a 3 nm, semiconductor node termed N3 into volume production by the second half of 2022.[1][2] An enhanced 3 nm chip process called N3e may start production in 2023.[3] South Korean chipmaker Samsung officially targets the same time frame as TSMC (as of May 2022) with the start of 3 nm production in the first half of 2022 using 3GAE process technology and with 2nd-gen 3 nm process (named 3GAP) to follow in 2023,[4][5] while according to other sources Samsung's 3 nm process will debut in 2024.[6] American manufacturer Intel plans to start 3 nm production in 2023.[7][8][9]

Samsung's 3 nm process is based on GAAFET (gate-all-around field-effect transistor) technology, a type of multi-gate MOSFET technology, while TSMC's 3 nm process will still use FinFET (fin field-effect transistor) technology,[10] despite TSMC developing GAAFET transistors.[11] Specifically, Samsung plans to use its own variant of GAAFET called MBCFET (multi-bridge channel field-effect transistor).[12] Intel's 3 nm process (dubbed "Intel 3" without the "nm" suffix) will use a refined, enhanced and optimized version of FinFET technology compared to its previous process nodes in terms of performance gained per watt, use of EUV lithography and power and area improvement.[13]

The term "3 nanometer" has no relation to any actual physical feature (such as gate length, metal pitch or gate pitch) of the transistors. According to the projections contained in the 2021 update of the International Roadmap for Devices and Systems published by IEEE Standards Association Industry Connection, a 3 nm node is expected to have a contacted gate pitch of 48 nanometers and a tightest metal pitch of 24 nanometers.[14] However, in real world commercial practice, "3 nm" is used primarily as a marketing term by individual microchip manufacturers to refer to a new, improved generation of silicon semiconductor chips in terms of increased transistor density (i.e. a higher degree of miniaturization), increased speed and reduced power consumption.[15][16] Moreover, there is no industry-wide agreement among different manufacturers about what numbers would define a 3 nm node. Typically the chip manufacturer refers to its own previous process node (in this case the 5 nm process node) for comparison. For example, TSMC has stated that its 3 nm FinFET chips will reduce power consumption by 25-30% at the same speed, increase speed by 10-15% at the same amount of power and increase transistor density by about 33% compared to its previous 5 nm FinFET chips.[17][18] On the other hand, Samsung has stated that its 3 nm process will reduce power consumption by 45%, improve performance by 23%, and decrease surface area by 16% compared to its previous 5 nm process.[19]

EUV faces new challenges at 3 nm which lead to the required use of multipatterning.[20]

History

Research and technology demos

In 1985, a Nippon Telegraph and Telephone (NTT) research team fabricated a MOSFET (NMOS) device with a channel length of 150 nm and gate oxide thickness of 2.5 nm.[21] In 1998, an Advanced Micro Devices (AMD) research team fabricated a MOSFET (NMOS) device with a channel length of 50 nm and oxide thickness of 1.3 nm.[22][23]

In 2003, a research team at NEC fabricated the first MOSFETs with a channel length of 3 nm, using the PMOS and NMOS processes.[24][25] In 2006, a team from the Korea Advanced Institute of Science and Technology (KAIST) and the National Nano Fab Center, developed a 3 nm width multi-gate MOSFET, the world's smallest nanoelectronic device, based on gate-all-around (GAAFET) technology.[26][27]

Commercialization history

In late 2016, TSMC announced plans to construct a 5 nm–3 nm node semiconductor fabrication plant with a co-commitment investment of around US$15.7 billion.[28]

In 2017, TSMC announced it was to begin construction of the 3 nm semiconductor fabrication plant at the Tainan Science Park in Taiwan.[29] TSMC plans to start volume production of the 3 nm process node in 2023.[30][31][32][33][34]

In early 2018, IMEC (Interuniversity Microelectronics Centre) and Cadence stated they had taped out 3 nm test chips, using extreme ultraviolet lithography (EUV) and 193 nm immersion lithography.[35]

In early 2019, Samsung presented plans to manufacture 3 nm GAAFET (gate-all-around field-effect transistors) at the 3 nm node in 2021, using its own MBCFET transistor structure that uses nanosheets; delivering a 35% performance increase, 50% power reduction and a 45% reduction in area when compared with 7 nm.[36][37][38] Samsung's semiconductor roadmap also included products at 8, 7, 6, 5, and 4 nm 'nodes'.[39][40]

In December 2019, Intel announced plans for 3 nm production in 2025.[41]

In January 2020, Samsung announced the production of the world's first 3 nm GAAFET process prototype, and said that it is targeting mass production in 2021.[42]

In August 2020, TSMC announced details of its N3 3 nm process, which is new rather than being an improvement over its N5 5 nm process.[43] Compared with the N5 process, the N3 process should offer a 10–15% (1.10–1.15×) increase in performance, or a 25–35% (1.25–1.35×) decrease in power consumption, with a 1.7× increase in logic density (a scaling factor of 0.58), a 20% increase (0.8 scaling factor) in SRAM cell density, and a 10% increase in analog circuitry density. Since many designs include considerably more SRAM than logic, (a common ratio being 70% SRAM to 30% logic) die shrinks are expected to only be of around 26%. TSMC plans volume production in the second half of 2022.[1]

In July 2021, Intel presented brand new process technology roadmap, according to which Intel 3 process, the company's second node to use EUV and the last one to use FinFET before switching to Intel's RibbonFET transistor architecture, is now scheduled to enter product manufacturing phase in H2 2023.[7]

In October 2021, Samsung adjusted earlier plans and announced that the company is scheduled to start producing its customers’ first 3 nm-based chip designs in the first half of 2022, while its second generation of 3 nm is expected in 2023.[4]

In June 2022, at TSMC Technology Symposium, the company shared details of its N3E process technology scheduled for volume production in 2023 H2: 1.6× higher logic transistor density, 1.3× higher chip transistor density, 10-15% higher performance at iso power or 30-35% lower power at iso performance compared to TSMC N5 v1.0 process technology, FinFLEX technology, allowing to intermix libraries with different track heights within a block etc. TSMC also introduced new members of 3 nm process family: high-density variant N3S, high-performance variants N3P and N3X, and N3RF for RF applications.[44][45][46]

In June 2022, Samsung started "initial" production of a low-power, high-performance chip using 3 nm process technology with GAA architecture.[47][48] According to industry sources, Qualcomm has reserved some of 3 nm production capacity from Samsung.[49]

On July 25, 2022, Samsung celebrated the first shipment of 3 nm Gate-All-Around chips to a Chinese cryptocurrency mining firm PanSemi.[50][51][52][53] It was revealed that the newly introduced 3 nm MBCFET process technology offers 16% higher transistor density,[54] 23% higher performance or 45% lower power draw compared to an unspecified 5 nm process technology.[55] Goals for the second-generation 3 nm process technology include up to 35% higher transistor density,[54] further reduction of power draw by up to 50% or higher performance by 30%.[55][56][54]

3 nm process nodes

Samsung[4][57][58] TSMC[2] Intel[7]
Process name 3GAE 3GAP N3 N3E 3
Transistor type MBCFET MBCFET FinFET FinFET FinFET
Transistor density (MTr/mm2) 202.85,[57] 150[58] 195[58] 314.73,[57] 220[46] 180[46] Un­known
SRAM bit-cell size (μm2) Un­known Un­known 0.0199 0.021 Un­known
Transistor gate pitch (nm) 40 Un­known 45 Un­known Un­known
Interconnect pitch (nm) 32 Un­known 22 Un­known Un­known
Release status 2022 risk production[4]
2022 production[47]
2022 shipping[59]
2023 production[4] 2021 risk production
2022 H2 volume production[2]
2023 H1 shipping for revenue[60]
2023 production[2] 2023 risk production[7]
2024 production[61]

References

  1. ^ a b "TSMC roadmap update: N3E in 2024, N2 in 2026, major changes incoming". AnandTech. 22 April 2022. Archived from the original on 9 May 2022. Retrieved 12 May 2022.
  2. ^ a b c d "TSMC 3nm". www.tsmc.com. 15 April 2022. Archived from the original on 20 April 2022. Retrieved 15 April 2022.
  3. ^ Ramish Zafar (4 March 2022). "TSMC Exceeds 3nm Yield Expectations & Production Can Start Sooner Than Planned". wccftech.com. Archived from the original on 16 March 2022. Retrieved 19 March 2022.
  4. ^ a b c d e "Samsung Foundry Innovations Power the Future of Big Data, AI/ML and Smart, Connected Devices". 7 October 2021. Archived from the original on 8 April 2022. Retrieved 23 March 2022.
  5. ^ "Samsung Electronics Announces First Quarter 2022 Results". Samsung. 28 April 2022. Archived from the original on 10 May 2022. Retrieved 10 May 2022.
  6. ^ Discuss, btarunr. "Samsung 3 nm GAAFET Node Delayed to 2024". TechPowerUp.com. Archived from the original on 17 December 2021. Retrieved 22 November 2021.
  7. ^ a b c d Cutress, Dr Ian. "Intel's Process Roadmap to 2025: with 4nm, 3nm, 20A and 18A?!". www.anandtech.com. Archived from the original on 3 November 2021. Retrieved 27 July 2021.
  8. ^ Gartenberg, Chaim (26 July 2021). "Intel has a new architecture roadmap and a plan to retake its chipmaking crown in 2025". The Verge. Archived from the original on 20 December 2021. Retrieved 22 December 2021.
  9. ^ "Intel Technology Roadmaps and Milestones". Intel. Archived from the original on 16 July 2022. Retrieved 17 February 2022.
  10. ^ Cutress, Dr Ian. "Where are my GAA-FETs? TSMC to Stay with FinFET for 3nm". Anandtech.com. Archived from the original on 2 September 2020. Retrieved 12 September 2020.
  11. ^ "TSMC Plots an Aggressive Course for 3nm Lithography and Beyond - ExtremeTech". Extremetech.com. Archived from the original on 22 September 2020. Retrieved 12 September 2020.
  12. ^ "Samsung at foundry event talks about 3nm, MBCFET developments". Techxplore.com. Archived from the original on 22 November 2021. Retrieved 22 November 2021.
  13. ^ Patrick Moorhead (26 July 2021). "Intel Updates IDM 2.0 Strategy With New Node Naming And Transistor And Packaging Technologies". Forbes. Archived from the original on 18 October 2021. Retrieved 18 October 2021.
  14. ^ INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS™: More Moore, IEEE, 2021, p. 7, archived from the original on 7 August 2022, retrieved 7 August 2022
  15. ^ "TSMC's 7nm, 5nm, and 3nm "are just numbers… it doesn't matter what the number is"". Pcgamesn.co. Archived from the original on 17 June 2020. Retrieved 20 April 2020.
  16. ^ Samuel K. Moore (21 July 2020). "A Better Way to Measure Progress in Semiconductors: It's time to throw out the old Moore's Law metric". IEEE Spectrum. IEEE. Archived from the original on 2 December 2020. Retrieved 20 April 2021.
  17. ^ Jason Cross (25 August 2020). "TSMC details its future 5nm and 3nm manufacturing processes—here's what it means for Apple silicon". Macworld. Archived from the original on 20 April 2021. Retrieved 20 April 2021.
  18. ^ Anton Shilov (31 August 2020). "The future of leading-edge chips according to TSMC: 5nm, 4nm, 3nm and beyond". Techradar.com. Archived from the original on 20 April 2021. Retrieved 20 April 2021.
  19. ^ "Samsung Begins Chip Production Using 3nm Process Technology With GAA Architecture". 30 June 2022. Archived from the original on 8 July 2022. Retrieved 8 July 2022.
  20. ^ Chen, Frederick (17 July 2022). "EUV's Pupil Fill and Resist Limitations at 3nm". LinkedIn. Archived from the original on 29 July 2022.
  21. ^ Kobayashi, Toshio; Horiguchi, Seiji; Miyake, M.; Oda, M.; Kiuchi, K. (December 1985). "Extremely high transconductance (above 500 mS/mm) MOSFET with 2.5 nm gate oxide". 1985 International Electron Devices Meeting: 761–763. doi:10.1109/IEDM.1985.191088. S2CID 22309664.
  22. ^ Ahmed, Khaled Z.; Ibok, Effiong E.; Song, Miryeong; Yeap, Geoffrey; Xiang, Qi; Bang, David S.; Lin, Ming-Ren (1998). "Performance and reliability of sub-100 nm MOSFETs with ultra thin direct tunneling gate oxides". 1998 Symposium on VLSI Technology Digest of Technical Papers (Cat. No.98CH36216): 160–161. doi:10.1109/VLSIT.1998.689240. ISBN 0-7803-4770-6. S2CID 109823217.
  23. ^ Ahmed, Khaled Z.; Ibok, Effiong E.; Song, Miryeong; Yeap, Geoffrey; Xiang, Qi; Bang, David S.; Lin, Ming-Ren (1998). "Sub-100 nm nMOSFETs with direct tunneling thermal, nitrous and nitric oxides". 56th Annual Device Research Conference Digest (Cat. No.98TH8373): 10–11. doi:10.1109/DRC.1998.731099. ISBN 0-7803-4995-4. S2CID 1849364.
  24. ^ Schwierz, Frank; Wong, Hei; Liou, Juin J. (2010). Nanometer CMOS. Pan Stanford Publishing. p. 17. ISBN 9789814241083. Archived from the original on 24 May 2020. Retrieved 11 October 2019.
  25. ^ Wakabayashi, Hitoshi; Yamagami, Shigeharu; Ikezawa, Nobuyuki; Ogura, Atsushi; Narihiro, Mitsuru; Arai, K.; Ochiai, Y.; Takeuchi, K.; Yamamoto, T.; Mogami, T. (December 2003). "Sub-10-nm planar-bulk-CMOS devices using lateral junction control". IEEE International Electron Devices Meeting 2003: 20.7.1–20.7.3. doi:10.1109/IEDM.2003.1269446. ISBN 0-7803-7872-5. S2CID 2100267.
  26. ^ "Still Room at the Bottom (nanometer transistor developed by Yang-kyu Choi from the Korea Advanced Institute of Science and Technology )", Nanoparticle News, 1 April 2006, archived from the original on 6 November 2012
  27. ^ Lee, Hyunjin; Choi, Yang-Kyu; Yu, Lee-Eun; Ryu, Seong-Wan; Han, Jin-Woo; Jeon, K.; Jang, D.Y.; Kim, Kuk-Hwan; Lee, Ju-Hyun; et al. (June 2006), "Sub-5nm All-Around Gate FinFET for Ultimate Scaling", Symposium on VLSI Technology, 2006: 58–59, doi:10.1109/VLSIT.2006.1705215, hdl:10203/698, ISBN 978-1-4244-0005-8, S2CID 26482358
  28. ^ Patterson, Alan (12 December 2016), "TSMC Plans New Fab for 3nm", Eetimes.com, archived from the original on 1 January 2019, retrieved 18 April 2019
  29. ^ Patterson, Alan (2 October 2017), "TSMC Aims to Build World's First 3-nm Fab", Eetimes.com, archived from the original on 28 July 2019, retrieved 18 April 2019
  30. ^ Zafar, Ramish (15 May 2019). "TSMC To Commence 2nm Research In Hsinchu, Taiwan Claims Report". Wccftech.com. Archived from the original on 7 November 2020. Retrieved 6 December 2019.
  31. ^ "TSMC to start production on 5nm in second half of 2020, 3nm in 2022". Techspot.com. Archived from the original on 19 December 2019. Retrieved 12 January 2020.
  32. ^ Armasu 2019-12-06T20:26:59Z, Lucian. "Report: TSMC To Start 3nm Volume Production In 2022". Tom's Hardware. Archived from the original on 15 September 2022. Retrieved 19 December 2019.((cite web)): CS1 maint: numeric names: authors list (link)
  33. ^ "TSMC 3nm process fab starts construction - mass production in 2023". Gizchina.com. 25 October 2019. Archived from the original on 12 January 2020. Retrieved 12 January 2020.
  34. ^ Friedman, Alan. "TSMC starts constructing facilities to turn out 3nm chips by 2023". Phone Arena. Archived from the original on 12 January 2020. Retrieved 12 January 2020.
  35. ^ "Imec and Cadence Tape Out Industry's First 3nm Test Chip", Cadence.com (press release), 28 February 2018, archived from the original on 18 April 2019, retrieved 18 April 2019
  36. ^ "Samsung Unveils 3nm Gate-All-Around Design Tools - ExtremeTech". Extremetech.com. Archived from the original on 15 September 2020. Retrieved 12 September 2020.
  37. ^ Armasu, Lucian (11 January 2019), "Samsung Plans Mass Production of 3nm GAAFET Chips in 2021", www.tomshardware.com, archived from the original on 6 December 2019, retrieved 6 December 2019
  38. ^ Samsung: 3nm process is one year ahead of TSMC in GAA and three years ahead of Intel, 6 August 2019, archived from the original on 15 September 2022, retrieved 18 April 2019
  39. ^ Armasu, Lucian (25 May 2017), "Samsung Reveals 4nm Process Generation, Full Foundry Roadmap", www.tomshardware.com, archived from the original on 15 September 2022, retrieved 18 April 2019
  40. ^ Cutress, Ian. "Samsung Announces 3nm GAA MBCFET PDK, Version 0.1". Anandtech.com. Archived from the original on 14 October 2019. Retrieved 19 December 2019.
  41. ^ Cutress, Dr Ian. "Intel's Manufacturing Roadmap from 2019 to 2029: Back Porting, 7nm, 5nm, 3nm, 2nm, and 1.4 nm". Anandtech.com. Archived from the original on 12 January 2021. Retrieved 11 December 2019.
  42. ^ Broekhuijsen 2020-01-03T16:28:57Z, Niels. "Samsung Prototypes First Ever 3nm GAAFET Semiconductor". Tom's Hardware. Archived from the original on 15 September 2022. Retrieved 10 February 2020.((cite web)): CS1 maint: numeric names: authors list (link)
  43. ^ Shilov, Anton. "TSMC: 3nm EUV Development Progress Going Well, Early Customers Engaged". Anandtech.com. Archived from the original on 3 September 2020. Retrieved 12 September 2020.
  44. ^ "TSMC Technology Symposium Review". SemiWiki. 22 June 2022.
  45. ^ "TSMC Readies Five 3nm Process Technologies, Adds FinFlex For Design Flexibility". AnandTech. 16 June 2022.
  46. ^ a b c "N3E Replaces N3; Comes In Many Flavors". WikiChip Fuse. 4 September 2022.
  47. ^ a b "Samsung Begins Chip Production Using 3nm Process Technology With GAA Architecture". news.samsung.com. Archived from the original on 30 June 2022. Retrieved 30 June 2022.
  48. ^ "Samsung Starts 3nm Production: The Gate-All-Around (GAAFET) Era Begins". AnandTech. 30 June 2022. Archived from the original on 7 July 2022. Retrieved 7 July 2022.
  49. ^ "Samsung Electronics begins 'trial production' of 3-nano foundry...The first customer is a Chinese ASIC company". TheElec. 28 June 2022. Archived from the original on 28 July 2022. Retrieved 28 July 2022.
  50. ^ "Samsung's 3nm trial production run this week to make Bitcoin miner chips". SamMobile. 28 June 2022. Archived from the original on 27 July 2022. Retrieved 27 July 2022.
  51. ^ "Samsung ships its first set of 3nm chips, marking an important milestone". SamMobile. 25 July 2022. Archived from the original on 27 July 2022. Retrieved 27 July 2022.
  52. ^ "Samsung celebrates the first shipment of 3nm Gate-All-Around chips". www.gsmarena.com. 25 July 2022. Archived from the original on 26 July 2022. Retrieved 26 July 2022.
  53. ^ "Samsung Electronics Holds 3 Nano Foundry Mass Production Shipment Ceremony". Samsung (Press release). 25 July 2022.
  54. ^ a b c "Samsung holds ceremony to mark 1st shipment of most advanced 3nm chips". Yonhap News Agency. 25 July 2022. Archived from the original on 28 July 2022. Retrieved 28 July 2022.
  55. ^ a b "Samsung Begins Chip Production Using 3nm Process Technology with GAA Architecture". BusinessWire. 29 June 2022. Archived from the original on 28 July 2022. Retrieved 28 July 2022.
  56. ^ "Samsung starts shipping world's first 3nm chips". The Korea Herald. 25 July 2022. Archived from the original on 27 July 2022. Retrieved 27 July 2022.
  57. ^ a b c "Can TSMC maintain their process technology lead". SemiWiki. 29 April 2020. Archived from the original on 13 May 2022. Retrieved 14 May 2022.
  58. ^ a b c "Samsung 3nm GAAFET Enters Risk Production; Discusses Next-Gen Improvements". WikiChip Fuse. 5 July 2022.
  59. ^ "History is made! Samsung beats out TSMC and starts shipping 3nm GAA chipsets". 25 July 2022. Archived from the original on 23 August 2022. Retrieved 23 August 2022.
  60. ^ "TSMC Q2 2022 Earnings Call" (PDF). TSMC. 14 July 2022. Archived (PDF) from the original on 15 July 2022. Retrieved 22 July 2022.
  61. ^ Cutress, Dr Ian (17 February 2022). "Intel Discloses Multi-Generation Xeon Scalable Roadmap: New E-Core Only Xeons in 2024". www.anandtech.com. Archived from the original on 15 March 2022. Retrieved 23 March 2022.

Further reading

Preceded by
5 nm (FinFET)
MOSFET semiconductor device fabrication process Succeeded by
2 nm (GAAFET)